EVG's GEMINI Passes Equipment Assessment

SAN FRANCISCO /PRNewswire/ -- SEMICON West -- EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology, and semiconductor markets, announced that its GEMINI automated wafer bonding system has become the first product to pass a systematic, rigorous Equipment Maturity Assessment (EMA) implemented within SEMATECH's 3D Interconnect program and ISMI's EMA team. The assessments of several critical 3D tools, announced by SEMATECH, earlier this year, are designed to determine equipment readiness for high-volume manufacturing (HVM).

A fully automated wafer bonding system dedicated to 3D IC wafer-to-wafer integration, the EVG GEMINI exceeded Level 3 equipment maturity requirements, the highest assessment rating awarded before transfer of new manufacturing processes into pilot lines or HVM. Temporary adhesive bonding, silicon fusion bonding, and metal thermocompression bonding processes have been investigated on the EVG GEMINI 300 mm wafer bonding system, installed at the College of Nanoscale Science and Engineering in Albany, NY. SEMATECH could qualify wafer bonding alignment accuracy of <500 nm (3 sigma), proving that the EVG GEMINI already exceeds the wafer alignment specifications outlined in the International Technology Roadmap for Semiconductors for 2018. Fusion wafer bonding was the first wafer bonding process to be implemented in high-volume manufacturing on 300 mm wafers. The EVG GEMINI FB, another version of the system tailored for aligned fusion wafer bonding, has been in HVM since 2009, significantly contributing to EVG's ongoing growth.

"EVG is the first company to pass ISMI's Equipment Maturity Assessment methodology," said Sitaram Arkalgud, Director of SEMATECH's 3D Interconnect program. "3D is a major industry transition, and SEMATECH's 3D program members and supplier partners benefit by applying our methodology to refine their tools, thus accelerating the readiness of 3D interconnects for HVM introduction. The EVG GEMINI system provides the technical basis to enable wafer-to-wafer integration for producing 3D stacked ICs. The temporary and permanent wafer bonding processes enable via-middle and via-last through-silicon-via (TSV) integration schemes."

Paul Lindner, Executive Technology Director at EV Group, noted, "During the EVG GEMINI system qualification phase at SEMATECH, adhesive wafer bonding, metal interconnect bonding, and silicon fusion bonding were all successfully qualified on SEMATECH's 3D IC demonstrators. We enjoyed working closely with the SEMATECH experts and ISMI's EMA team during the equipment maturity assessment and have already implemented individual suggestions from the report in our continuous improvement program."

The EVG GEMINI automated wafer bonding platform is designed for meeting advanced wafer-level 3D IC integration requirements at the high-volume manufacturing level. Wafer-level 3D integration is required for applications such as DRAM or NAND flash memory stacking, heterogeneous stacking of memory onto logic devices, CMOS image sensor manufacturing, and wafer-level-packaging (WLP) with TSV interconnects. Advanced wafer bonding is key for manufacturing those 3D architectures with high reliability and productivity, requiring metal bonding (Cu-Cu and Cu-Sn-Cu), fusion bonding, and adhesive bonding. The EVG GEMINI systems are designed to meet all of these advanced bonding requirements at the HVM level, providing stringent process controllability, process diversity, modular design, sub-micron alignment accuracy, high throughput, and reliability.

About SEMATECH
SEMATECH, the international consortium of leading semiconductor device, equipment, and materials manufacturers, this year celebrates 25 years of excellence in accelerating the commercialization of technology innovations into manufacturing solutions. Through its unwavering commitment to foster collaboration across the nanoelectronics industry, it helps its members and partners address critical industry transitions, drive technical consensus, pull research into the industry mainstream, improve manufacturing productivity, and reduce risk and time to market. Visit Twiter for news about SEMATECH.

About EVG
EV Group is a world leader in wafer-processing solutions for semiconductor, MEMS, and nanotechnology applications. Through close collaboration with its global customers, the company implements its flexible manufacturing model to develop reliable, high-quality, low-cost-of-ownership systems easily integrated into customers' fab lines. Key products include wafer bonding, lithography/nanoimprint lithography, and metrology equipment, as well as photoresist coaters, cleaners, and inspection systems.

In addition to "its dominant share of the market for wafer bonders, EVG holds a leading position in NIL and lithography for advanced packaging and MEMS." Along these lines, the company co-founded the EMC-3D consortium in 2006 to create and help drive implementation of a cost-effective TSV process for major ICs and MEMS/sensors. Other target semiconductor-related markets include silicon-on-insulator, compound semiconductor, and silicon-based power-device solutions.

Founded in 1980, EVG is headquartered in St. Florian, Austria, and operates via a global customer support network, with subsidiaries in Tempe, AZ; Albany, NY; Yokohama and Fukuoka, Japan; Seoul, Korea and Chung-Li, and Taiwan.