Intel seeks to soar again on new process and packaging roadmap

Intel unveiled process and packaging technology roadmaps that will take the company through 2025, including a new node naming strategy that reflects industry trends, as well as a new transistor architecture, a new power delivery design and more that will radically change future semiconductor designs.

On top of all these moves, which Intel connected to innovations being made in its U.S. manufacturing facilities in Oregon and Arizona, the company also announced the first two customers for its Intel Foundry Services offerings--Qualcomm and AWS. Intel offered no further details about those wins, but they still could serve as proof points that the company is on the right path with its IFS strategy.

Regarding node naming, Intel is shunning the traditional practice of identifying nodes by gate size (10 nanometers, 7 nanometers and so on), a practice that is fraught with inconsistency and confusion, as not all companies use the same measurement approaches.

“We need to evolve the way we talk about process nodes,” said Intel CEO Pat Gelsinger on Monday during an online presentation. “These days the various naming and number schemes used across the industry, including ours, no longer refer to any specific measurement [of gate or other chip aspects] and don’t tell the full story of how to achieve the best balance of power, efficiency and performance.”

He said the company is “refreshing its lexicon” starting with the next chip after its now in-the-works 10-nm SuperFin. That next chip will simply be called Intel 7, and will be in production by the first quarter of next year. It will feature a 10-15% increase in performance-per-watt over the 10-nm SuperFin. The Intel 7 will be followed by the Intel 4, the Intel 3, and later as Intel pushes beyond the 1-nm threshold into the “Angstrom era,” the 20A and the 18A (more details on those plans below.)

Jack Gold, principal analyst at J. Gold Associates, noted in a written analysis of Intel’s announcements that Intel “believes that the critical determination of value for many customers is performance-per-watt, which requires a blending of power, area and performance, and not just an optimization of process density. As a result, it will be dropping direct references to its process node size and instead implementing a node generation scheme.”

RibbonFET and PowerVia

But Intel didn’t limit Monday’s unveilings to node-naming. Ann Kelleher, senior vice president and general manager of Technology Development, said that is just one aspect of the company’s effort to strive for “an annual cadence of process and packaging innovations.” 

Among those innovations, Intel announced RibbonFET, a “gate-all-around” transistor architecture that wraps the gate completely around the channel, offering “better control ad higher drive current at all voltages,” which means faster transistor switching speed and higher performance, said Sanjay Natarajan, senior vice president and co-general manager of logic technology development at Intel.

Intel's gate-all-around transistor architecture. (Intel)

Intel also unveiled PowerVia, described by Natarajan as a backside power delivery method. Instead of traditional powering methods, which cause power and signal wires to be mixed, creating the potential for routing inefficiencies and performance problems, PowerVia puts those wires underneath the transistor layer on the backside of the wafer. This makes more resources available for optimized signal routing, and reduces delay, Natarajan said.

Both of these innovations, which “transform silicon process technology,” will be available in the Intel 20A chip, coming in 2025, he said.

Gold said in his analysis, “There is no doubt Intel will face fierce competition from other chip vendors in both process and packaging, but it’s important to recognize that Intel is not as far behind as many believe it to be, and it has the tools and processes to remain a leader in the markets it serves.”

Here is a more detailed description in Intel's words of the chips on the roadmap and the timing for them:

  • Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022.
  • Intel 4 fully embraces extreme ultraviolet lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.
  • Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.
  • Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024. 
  • Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. 

IFS Wins

Coming back to the customer announcements for Intel Foundry Services, Gelsinger offered few further details about those wins, except that Qualcomm will leverage the planned Intel 20A node, while AWS is becoming a customer for IFS packaging solutions.

But, these deals come at a time when critics have questioned IFS’ progress, and they could serve as initial proof points that the company is on the right path with its IFS strategy.

“Qualcomm and AWS are huge endorsements of the Intel IFS strategy, although the devil is still in the details (what chips, when, how many, etc.),” Gold said via email. “But clearly this is important to Intel and should bring others on board and give Intel some momentum. Nearly everyone is looking for more sources for their chips, especially given the international ramifications of only obtaining chips in the Far East. Intel still has to prove it has the chops to be a true competitor in the foundry business, but apparently it has convinced at least two major companies that it has.”